Thread Rating:
  • 0 Vote(s) - 0 Average
  • 1
  • 2
  • 3
  • 4
  • 5
OpenAI
#1
Miki je u nekoj temi već pomenuo ChatGPT engine sa veštačkom inteligencijom pa evo malo iskustava. Zagušen je zahtevima ali kad prođete logovanje sve ide vrlo lako.
Ključno je da pitanja/problemi/zadaci budu dobro definisani.
Tražio sam program za PIC16F628A u XC8 za čitanje temperature sa DS18B20 i prikaz na 2x16 hd44780 LCD. Detaljno sam opisao kako je obavljeno povezivanje (RS pin LCD je povezan na RA1 i tako to...). Nisam proveravao ali sve je otprilike tu. Čak su i komentari na srpskom jeziku vrlo dobri i opširni.
Posle sam mu dao neki zadatak iz python-a. Radi za sve pare.

Sledio je zahtev da napiše kraći sastav o ženskim likovima srpske narodne epske poezije pokosovskog ciklusa. Nisam čuo ni za jedan od likova i verujem da je sve izmislio na osnovu pretrage po internetu.
Milosava, žena Miloša Obilića!? WTF!
"Devojka iz Kučeva: Ona je devojka iz sela Kučeva i poznata je po tome što je pobedila neprijatelja u borbi. Ona je veoma hrabra i odvažna, spremna da se suprotstavi neprijatelju i da brani svoj dom i porodicu."
Google pretraga za ovu devojku iz Kučeva vodi sve na neke vesti o ubistvima i zločinima. Tu mi je dosta sumnjiv.
Rodno neće da se opredeli, nije hteo da bude predsednički kandidat (i ovde mi je sumnjiv jer znam za još neke tako Big Grin ) a i na finansijska pitanja ne daje odgovore, jbg.

Pesma koju sam mu tražio nije bila nikakva, onako zvuči baš vogonski. Malo, malo pa ide:

Ne gledaj u prošlost, gledaj u budućnost
...
Ne boj se da sanjaš, ne boj se da voliš
...
Ne odustaj od borbe, ne odustaj od sebe
...

Ko Đule Van Gog!
Ili je to bio Gile ...

Sve u svemu, za matiš i programiranje opišete problem i vozi. A i klincima prvi link za domaći i pismeni.
Reply
#2
Kakav mufljuz političarski. Tražio sam da mi napiše prvu liniju svog koda.
Odgovorio je da ne ume/može da piše programski kod.
Onda ga podsetim da mi je već pisao programski kod u pythonu a on napiše da to nije tačno! Unesem ponovo isti zadatak ali neće da sarađuje.
Ece homo!
Reply
#3


Toliko o vestackoj inteligenciji  Smile
Novac je sredstvo a ne cilj.
Reply
#4
ima da mu shebete znanje skoroz...
tiltovace sassve...
Reply
#5
Ma ima da ga pretvorimo u srbendu, prvo da nauči da psuje, da razume kada mu se **** pip*** *pip pip *** piip** po spisku kada odgovori tako na ta pitanja i da sledeći put to ne radi ...

Bar tom sokoćalu mogu sve po spisku jer u suprotnom mož da sledi Daisyyyyy ... Smile





Za tehniku je ipak malo bolji ...

>>> hello world example in vhdl
Here is a simple "Hello, World!" example in VHDL:

Code:
-- VHDL "Hello, World!" example

library ieee;
use ieee.std_logic_1164.all;

entity hello_world is
    port(
        clk : in std_logic;   -- Clock input
        rst : in std_logic;   -- Reset input
        led : out std_logic   -- LED output
    );
end entity;

architecture rtl of hello_world is
    -- State register
    type state_t is (IDLE, HELLO, WORLD, DONE);
    signal state : state_t := IDLE;
    -- Counter
    signal cnt : integer range 0 to 100 := 0;
begin
    -- State machine
    process(clk, rst)
    begin
        if (rst = '1') then
            state <= IDLE;
            cnt <= 0;
            led <= '0';
        elsif (clk'event and clk = '1') then
            case state is
                when IDLE =>
                    if (cnt = 0) then
                        state <= HELLO;
                        cnt <= 100;
                    else
                        cnt <= cnt - 1;
                    end if;
                when HELLO =>
                    if (cnt = 0) then
                        state <= WORLD;
                        cnt <= 100;
                        led <= '1';
                    else
                        cnt <= cnt - 1;
                    end if;
                when WORLD =>
                    if (cnt = 0) then
                        state <= DONE;
                        cnt <= 100;
                        led <= '0';
                    else
                        cnt <= cnt - 1;
                    end if;
                when DONE =>
                    if (cnt = 0) then
                        state <= IDLE;
                        cnt <= 100;
                    else
                        cnt <= cnt - 1;
                    end if;
            end case;
        end if;
    end process;
end architecture;
Reply


Forum Jump:


Users browsing this thread: 1 Guest(s)